2003-07-01から1ヶ月間の記事一覧

今日の成果。

立方体がぐーるぐる。 …笑うなよぅ。

そーいえば、

VCの使い方本っての無いのかな… あれってどんな風にビルドされるか分りづらくてコンパイルエラーの原因がピンとこないッス

OpenGL

=■●_ windows.hインクルードしてないだけですた。 前にcygwinでrRootageのビルドした時も引っかかったやん…

OpenGL

VC + SDL + OpenGL のコンパイルが通らねー! cygwin + GLUT のコンパイルも通んねー! なーぜーじゃあああぁぁ (もっと調べなさい。

雨のち蒸し晴れ

セミが憎いほど鳴いてやがります。

プログラミング「再」考察

で、上のperlの文章を読んで。えーと、激しくゴメンナサイ。目的が達成されちゃうと満足しちゃう怠惰な性格なもんで。ただ、常々「こんな風に書いていいもんなの?」って不安はあったんです。それでも他の「書き方」も知らないし、知ろうともしていませんで…

う゛ぁにら

>=□○_ あのバニラつぽい味は香料のやうです。 バニラの香り成分(バニリン)ってのは結構身近な食品に入ってるらしいですよ。例えば味噌。と、昨日の目テンで得た知識で反応してみる。

オレンジの看板〜

一応、デザインの元ネタはコチラ。断じてコッチじゃないです。

ってワケかどうかはともかく

放置してたSDLを弄り弄り。その中でOpenALなるものをハケーン。引き出しにストック。

プログラミング考察

さいだたんのプログラミングの考え方について私なりの意見。 ここんとこのやりとり見てると「数学(数字)きらーい「GoFきらーい」って言ってる一方、お互い「やった方がいいのになー」と思ってた気がしたのです。だから食わず嫌いイクナイ。で、「数学(数字)…

ばしこーん

今日はなんか調子がいい。

ハプニング

今日は色々あったのです。事故見たり、招かねざる客が来たり、事故ったり。ちょっと疲れた。

遺物

思い立って部屋の片隅にある書類の箱を整理してたら色々出てくる。なんか、こっぱずかしいけどとっておく物って結構多くありません?

スケジューリング

私ゃ行動に計画性が無いってーか、なんというか。結局、ここのデザインも決って無いや。

惑わす曇り

洗濯しちゃったよ…

くもりもどりあめときどきひざし

そろそろ目標を「毎日書く」から「増量」にしたい今日この頃。てか、CSS弄って一週間放置してどーする。

ついでに

スラドでもあそんでたらコレ見っけたX-Fry: If this is some kind of scam, I don't get it. You already have my power of attorney. X-Bender: Fry, of all the friends I've had ... you're the first.内容はアクセス毎に変わるみたい。

めも

curl -A "Monazilla/1.00 (hoge/0.0)" -H "Accept-Encoding: gzip" http://pc2.2ch.net/software/subject.txt | zcat | nkf

自転車で自ら転んだ日

激しくすりむいた。